Πίνακας περιεχομένων:
- Βήμα 1: Σχεδιάστε το κύκλωμα που θα αντιπροσωπεύει το "FPGA"
- Βήμα 2: Εισαγάγετε το FPGA
- Βήμα 3: Από το FPGA στο Arduino
- Βήμα 4: Από το Arduino στο DIP Chip on a Bread Board
- Βήμα 5: Συμπέρασμα
Βίντεο: Arduino ως φτηνό Bboardboard FPGA: 5 βήματα (με εικόνες)
2024 Συγγραφέας: John Day | [email protected]. Τελευταία τροποποίηση: 2024-01-30 08:37
Ο σχεδιασμός λογικών κυκλωμάτων υλικού μπορεί να είναι διασκεδαστικός. Ο παλιός τρόπος για να γίνει αυτό ήταν με τις πύλες NAND, σε μια σανίδα ψωμιού, καλωδιωμένες με καλώδια. Αυτό είναι ακόμα δυνατό, αλλά δεν χρειάζεται πολύ πριν ο αριθμός των πύλων ξεφύγει από τον έλεγχο. Μια νεότερη επιλογή είναι να χρησιμοποιήσετε ένα FPGA (Field Programmable Gate Array). Αυτά τα τσιπ μπορούν να επανασυνδεθούν για να γίνουν οποιοδήποτε ψηφιακό κύκλωμα λογικής που μπορείτε να σχεδιάσετε, αλλά δεν είναι φθηνά και άμεσα διαθέσιμα. Θα δείξω πώς αυτό το FPGA μπορεί να αντικατασταθεί με ένα φθηνό τσιπ Atmega από ένα Arduino UNO, βάζοντας αποτελεσματικά το ψηφιακό κύκλωμα σε ένα πακέτο DIP, το οποίο είναι πολύ φιλικό προς το ψωμί.
Βήμα 1: Σχεδιάστε το κύκλωμα που θα αντιπροσωπεύει το "FPGA"
Θα φτιάξω έναν αθροιστή 2 bit + 2 bit. Παίρνει δύο ζεύγη λογικών ακίδων εισόδου και εξάγει ένα τρίδυμο ακίδων εξόδου.
Για να το κάνετε αυτό με τις πύλες NAND, δείτε το σχηματικό σχήμα στην εικόνα. Χρειάζεται 14 πύλες NAND. Χρησιμοποίησα 4 τετράγωνα NT gate TTL 4 τεμάχια και τα ένωσα πάνω στον πίνακα ψωμιού.
Πρόσθεσα μερικά LED (μην ξεχνάτε τις τρέχουσες περιοριστικές αντιστάσεις) για να εμφανίζονται πότε οι ακίδες εισόδου και εξόδου ήταν ενεργοποιημένες (υψηλές) και πότε ήταν σβηστές (χαμηλές). Για να οδηγήσω τους πείρους εισόδου, είτε τους μπέρδεψα στη ράγα γείωσης είτε στη ράγα θετικής ισχύος.
Αυτό το κύκλωμα λειτουργεί, αλλά καταλαμβάνει ήδη 4 μάρκες TTL και είναι μια φωλιά αρουραίων καλωδίων. Εάν χρειάζονταν περισσότερα κομμάτια, θα υπήρχαν περισσότερες σανίδες ψωμιού και περισσότεροι άλτες. Πολύ γρήγορα το μέγεθος του κυκλώματος θα ξεφύγει από τον έλεγχο.
Σε μια δευτερεύουσα σημείωση, όταν εργάζεστε με πύλες TTL, δεν παράγουν ακριβώς 0V ή 5V όπως θα περίμενε κανείς. Συχνά παράγουν περίπου 3V για "υψηλή", αλλά η ακριβής τάση είναι σε πολύ μεγάλο εύρος. Το ίδιο κύκλωμα που χρησιμοποιεί τα ισοδύναμα τσιπ CMOS θα είχε καλύτερες ακρίβειες 0V έως ακριβώς 5V.
Βήμα 2: Εισαγάγετε το FPGA
Ένα FPGA είναι ένα φανταστικό τσιπ, το οποίο μπορεί κυριολεκτικά να γίνει οποιοσδήποτε συνδυασμός λογικών πυλών, ενωμένων μεταξύ τους σε οποιονδήποτε συνδυασμό. Το ένα σχεδιάζει το "κύκλωμα" σε γλώσσα σχεδιασμού υλικού (HDL). Υπάρχουν πολλές τέτοιες γλώσσες, μία από τις οποίες ονομάζεται Verilog. Το αρχείο.v στην εικόνα είναι το ισοδύναμο Verilog του αθροιστή δύο δυαδικών ψηφίων. Το αρχείο.pch παρακάτω είναι επίσης απαραίτητο για να αντιστοιχίσετε τις ακίδες εισόδου και εξόδου που αναφέρονται στο αρχείο verilog σε πραγματικές ακίδες υλικού στο τσιπ.
Σε αυτή την περίπτωση χρησιμοποιώ έναν πίνακα ανάπτυξης Lattice Semiconductors iCEstick (https://www.latticesemi.com/icestick). Το πραγματικό τσιπ FPGA είναι ένα iCE40HX-1k, με λίγο περισσότερες από 1000 πύλες, που μπορούν να γίνουν το καθένα λογική πύλη. Αυτό σημαίνει ότι κάθε πύλη μπορεί να είναι μια πύλη NAND ή μια πύλη OR, ΟΧΙ πύλη, NOR, XOR, κλπ. Επιπλέον, κάθε πύλη μπορεί να χειριστεί περισσότερες από δύο εισόδους. Αυτό είναι συγκεκριμένο για κάθε κατασκευαστή, αλλά στο iCE40 κάθε πύλη μπορεί να χειριστεί 4 εισόδους. Έτσι κάθε πύλη είναι πολύ πιο ικανή από τις 2 πύλες εισόδου NAND.
Έπρεπε να αντιστοιχίσω την 4 πεύκη εισόδου και τις 3 ακίδες εξόδου σε φυσικές ακίδες 91, 90, 88, 87, 81, 80 και 79 αντίστοιχα. Αυτό είναι συγκεκριμένο για το τσιπ fpga και το board breakout που είναι, και πώς συνδέονται αυτές οι ακίδες στη θύρα PMOD. Αυτό είναι διαθέσιμο στα φύλλα δεδομένων για αυτόν τον πίνακα FPGA.
Το Lattice παρέχει τη δική του αλυσίδα εργαλείων για τη σύνθεση κυκλωμάτων (το FPGA ισοδύναμο με τη μεταγλώττιση για CPU) από τη Verilog, αλλά χρησιμοποίησα την ελεύθερη αλυσίδα εργαλείων ανοικτού κώδικα icestorm (https://www.clifford.at/icestorm/). Οι οδηγίες εγκατάστασης είναι διαθέσιμες σε αυτόν τον ιστότοπο. Με το icestorm εγκατεστημένο και το αρχείο verilog και pcf, οι εντολές για να φορτώσετε αυτό το κύκλωμα στο FPGA είναι:
yosys -p "synth_ice40 -blif twoBitAdder.v" twoBitAdder.blif
arachne -pnr -d 1k -p iCEstick.pcf twoBitAdder.blif -o twoBitAdder.asc
icepack twoBitAdder.asc twoBitAdder.bin
iceprog twoBitAdder.bin
Αυτό λειτουργεί υπέροχα, αλλά η αποστολή αυτού του iCEstick θα κοστίσει περίπου $ 30. Αυτός δεν είναι ο φθηνότερος τρόπος για να δημιουργήσετε ένα ψηφιακό κύκλωμα, αλλά είναι ισχυρός. Έχει πάνω από 1000 πύλες και για αυτό το μικροσκοπικό κύκλωμα χρησιμοποιεί μόνο 3 από αυτές. Το ισοδύναμο πύλης NAND χρησιμοποίησε 14 πύλες. Αυτό οφείλεται στο γεγονός ότι κάθε πύλη μπορεί να γίνει κάθε είδους πύλη και κάθε πύλη είναι στην πραγματικότητα μια πύλη 4 εισόδων. Κάθε πύλη μπορεί να κάνει περισσότερα. Εάν χρειάζεστε περισσότερες πύλες, το iCEstick έχει έναν μεγαλύτερο αδελφό με 8000 πύλες, που κοστίζει περίπου το διπλάσιο. Άλλοι κατασκευαστές έχουν άλλες προσφορές, αλλά η τιμή μπορεί να είναι αρκετά απότομη.
Βήμα 3: Από το FPGA στο Arduino
Τα FPGA είναι υπέροχα, αλλά μπορεί να είναι ακριβά, δύσκολα και δεν είναι πολύ φιλικά προς την σανίδα ψωμιού. Ένα φιλικό προς το breadboard και φθηνό τσιπ είναι το Atmega 328 P, το οποίο διατίθεται σε ένα προσεγμένο πακέτο DIP, ιδανικό για ψωμί. Μπορεί επίσης να αγοραστεί για περίπου $ 4. Αυτή είναι η καρδιά του Arduino UNO. Θα μπορούσατε φυσικά να χρησιμοποιήσετε ολόκληρο το UNO, αλλά να είστε φθηνοί, μπορούμε να βγάλουμε το Atmega 328 P από το UNO και να το χρησιμοποιήσουμε από μόνο του. Ωστόσο, χρησιμοποίησα τον πίνακα UNO ως προγραμματιστή για το Atmega.
Σε αυτό το σημείο θα χρειαστείτε
1. Ένα Arduino UNO, με την αφαιρούμενη επεξεργαστή Atmega 328P.
2. Ένα άλλο Atmega 328P με τον εκκινητή Arduino προ-καμένο, για να αντικαταστήσει αυτό που πρόκειται να βγάλουμε από το UNO. (Προαιρετικά με την προϋπόθεση ότι εξακολουθείτε να θέλετε να έχετε ένα UNO που μπορεί να χρησιμοποιηθεί).
Ο στόχος είναι να μετατραπεί το αρχείο verilog σε ένα έργο arduino που μπορεί να φορτωθεί στο 328P. Το Arduino βασίζεται στο C ++. Βολικά υπάρχει ένας μεταφραστής από το Verilog στο C ++, που ονομάζεται Verilator (https://www.veripool.org/wiki/verilator). Το Verilator προορίζεται να χρησιμοποιηθεί από σχεδιαστές υλικού που πρέπει να προσομοιώσουν τα σχέδιά τους πριν δεσμεύσουν αυτά τα σχέδια σε ακριβό υλικό. Το Verilator cross συντάσσει το verilog σε C ++, στη συνέχεια ο χρήστης παρέχει μια δοκιμαστική πλεξούδα για την παροχή προσομοιωμένων σημάτων εισόδου και την καταγραφή των σημάτων εξόδου. Θα το χρησιμοποιήσουμε για να στριμώξουμε το σχέδιο verilog στο Atmega 328P χρησιμοποιώντας την αλυσίδα εργαλείων Arduino.
Πρώτα εγκαταστήστε το Verilator. Ακολουθήστε τις οδηγίες στη διεύθυνση
Εγκαταστήστε επίσης το Arduino IDE και ελέγξτε ότι μπορεί να συνδεθεί στο Arduino UNO μέσω USB.
Θα χρησιμοποιούμε το ίδιο αρχείο verilog όπως για το FPGA, εκτός από το ότι τα ονόματα των ακίδων πρέπει να αλλάξουν. Πρόσθεσα μια υπογράμμιση (_) στην αρχή του καθενός. Αυτό είναι απαραίτητο επειδή οι βιβλιοθήκες arduino περιλαμβάνουν ένα αρχείο κεφαλίδας που μεταφράζει πράγματα όπως B0, B001, κ.λπ., σε δυαδικούς αριθμούς. Τα άλλα ονόματα καρφιτσών εισαγωγής θα ήταν καλά ως έχουν, αλλά τα Β0 και Β1 θα προκαλούσαν την αποτυχία της κατασκευής.
Στον κατάλογο που περιέχει twoBitAdder.v και iCEstick.pcf, εκτελέστε τα εξής:
verilator -Wall --cc twoBitAdder.v
Αυτό θα δημιουργήσει έναν υποκατάλογο που ονομάζεται obj_dir και περιέχει πολλά νέα αρχεία. Χρειαζόμαστε μόνο τα αρχεία κεφαλίδας και cpp, VtwoBitAdder.h, VtwoBitAdder.cpp, VtwoBitAdder_Syms.h και VtwoBitAdder_Syms.cpp.
Στο Arduino IDE, δημιουργήστε ένα νέο σκίτσο που ονομάζεται twoBitAdder.ino. Αυτό θα δημιουργήσει το αρχείο ino σε έναν νέο κατάλογο που ονομάζεται επίσης twoBitAdder, μέσα στον κατάλογο του Arduino sketchbook. Αντιγράψτε τα αρχεία σας VtwoBitAdder.h και VtwoBitAdder.cpp σε αυτόν τον φάκελο twoBitAdder στο φάκελο Arduino.
Τώρα αντιγράψτε τα αρχεία κεφαλίδας από την εγκατάσταση του επαληθευτή.
cp/usr/local/share/verilator/include/verilated*.
τελικά αντιγράψτε στη βιβλιοθήκη std c ++ από τη διεύθυνση https://github.com/maniacbug/StandardCplusplus. Σύμφωνα με τις οδηγίες εγκατάστασής τους "Αυτό είναι εγκατεστημένο ακριβώς όπως μια κανονική βιβλιοθήκη Arduino. Αποσυσκευάστε τα περιεχόμενα της διανομής στο φάκελο" βιβλιοθήκες "κάτω από το sketchbook σας. Για παράδειγμα, το σκίτσο μου είναι στο/home/maniacbug/Source/Arduino, οπότε αυτή η βιβλιοθήκη είναι στο/home/maniacbug/Source/Arduino/libraries/StandardCplusplus.
Βεβαιωθείτε ότι έχετε επαναφέρει το Arduino IDE μετά την εγκατάσταση."
Τώρα αντικαταστήστε το περιεχόμενο του twoBitAdder.ino με αυτό που παρέχεται σε αυτό το βήμα. Αυτή είναι μια δοκιμαστική πλεξούδα που αναμένει ο επεξεργαστής, η οποία ρυθμίζει τις ακίδες εισόδου/εξόδου, στη συνέχεια στο βρόχο, διαβάζει τις ακίδες εισόδου, τις τροφοδοτεί στο VtwoBitAdder (η μεταφρασμένη έκδοση του κυκλώματός μας), στη συνέχεια διαβάζει τις εξόδους από το VtwoBitAdder και ισχύει τους στις ακίδες εξόδου.
Αυτό το πρόγραμμα πρέπει να μεταγλωττιστεί και να εκτελεστεί στο Arduino UNO.
Βήμα 4: Από το Arduino στο DIP Chip on a Bread Board
Τώρα που το πρόγραμμα τρέχει στο Arduino, δεν χρειαζόμαστε πλέον τον ίδιο τον πίνακα Arduino. Το μόνο που χρειαζόμαστε είναι η CPU.
Αφαιρέστε προσεκτικά το Atmega 328P από την πρίζα Arduino UNO και τοποθετήστε προαιρετικά την αντικατάστασή του.
Βάλτε το Atmega 328P στο breadboard. Βάλτε το τέλος με το δίπλωμα να δείχνει προς τα πάνω στην σανίδα ψωμιού. Η καρφίτσα 1 είναι η επάνω αριστερή καρφίτσα. Το pin 2 είναι το επόμενο προς τα κάτω και ούτω καθεξής στο pin 14 που βρίσκεται κάτω αριστερά. Στη συνέχεια, ο πείρος 15 βρίσκεται κάτω δεξιά και οι καρφίτσες 16 έως 28 μετρούν αντίστροφα στη δεξιά πλευρά του τσιπ.
Συνδέστε τις ακίδες 8 και 22 στη γείωση.
Συνδέστε τον πείρο 7 στο VCC (+5V).
Συνδέστε ένα κρύσταλλο χαλαζία 16Mhz μεταξύ των ακίδων 9 και 10. Επίσης ένας μικρός πυκνωτής (22pF) μεταξύ του πείρου 9 και της γείωσης και μεταξύ του πείρου 10 και της γείωσης. Αυτό δίνει στο Atmega 328P την ταχύτητα ρολογιού 16Mhz. Υπάρχουν οδηγίες αλλού για τη διδασκαλία του 328P να χρησιμοποιεί το εσωτερικό του ρολόι 8Mhz που θα εξοικονομούσε μερικά μέρη, αλλά αυτό θα επιβράδυνε τον επεξεργαστή.
Οι θύρες Arduino GPIO 5, 6, 7 και 8, που χρησιμοποιήσαμε για τις ακίδες εισόδου είναι στην πραγματικότητα οι φυσικές ακίδες 11, 12, 13, 14 στο Atmega 328P. Αυτές θα ήταν οι τέσσερις κάτω καρφίτσες στα αριστερά.
Οι θύρες Arduino GPIO 11, 10 και 9, τις οποίες χρησιμοποιήσαμε για τις ακίδες εξόδου είναι στην πραγματικότητα οι φυσικές ακίδες 17, 16, 15 στο Atmega 328P. Αυτό θα ήταν οι τρεις κάτω ακίδες στα δεξιά.
Συνδέω τα LED σε αυτές τις ακίδες όπως πριν.
Βήμα 5: Συμπέρασμα
Τα τσιπ TTL λειτουργούν, αλλά χρειάζονται πολλά από αυτά για να κατασκευάσουν οτιδήποτε. Τα FPGA λειτουργούν πολύ καλά, αλλά δεν είναι φθηνά. Εάν μπορείτε να ζήσετε με λιγότερες ακίδες IO και χαμηλότερη ταχύτητα, τότε ένα Atmega 328P μπορεί να είναι το τσιπ για εσάς.
Μερικά πράγματα που πρέπει να θυμάστε:
FPGA:
Pro
- Μπορεί να χειριστεί σήματα υψηλής ταχύτητας. Επειδή δεν υπάρχει CPU για την εμπλοκή της επεξεργασίας σε μία εντολή κάθε φορά, ο περιοριστικός παράγοντας είναι η καθυστέρηση διάδοσης μέσω των πυλών στο δεδομένο κύκλωμα. Σε πολλές περιπτώσεις αυτό μπορεί να είναι πολύ γρηγορότερο από το ρολόι που παρέχεται με το τσιπ. Για τον σχεδιασμό μου, η υπολογισμένη καθυστέρηση θα επέτρεπε στο twoBitAdder να ανταποκριθεί σε περίπου 100 εκατομμύρια αλλαγές στις τιμές εισόδου ανά δευτερόλεπτο (100 Mhz), παρόλο που το ρολόι επί του σκάφους είναι μόνο ένα κρύσταλλο 12 Mhz.
- Καθώς ο σχεδιασμός γίνεται πιο περίπλοκος, η απόδοση των υφιστάμενων κυκλωμάτων δεν υποβαθμίζεται (πολύ). Επειδή η προσθήκη κυκλωμάτων στο ύφασμα βάζει απλά κάτι νέο σε αχρησιμοποίητα ακίνητα, δεν επηρεάζει τα υπάρχοντα κυκλώματα.
- Ανάλογα με το FPGA, ο αριθμός των διαθέσιμων ακίδων IO μπορεί να είναι πολύ υψηλός και γενικά δεν είναι κλειδωμένοι σε κάποιο συγκεκριμένο σκοπό.
Ενάντιος
- Μπορεί να είναι ακριβό και/ή δύσκολο να το βρεις.
- Συνήθως έρχεται σε ένα πακέτο BGA που απαιτεί κάποιο είδος πίνακα breakout για να λειτουργήσει με το τσιπ σε οποιοδήποτε ερασιτεχνικό έργο. Εάν το ενσωματώνετε σε ένα σχέδιο με προσαρμοσμένο PCT SMT πολλαπλών επιπέδων, αυτό δεν είναι πρόβλημα.
- Οι περισσότεροι κατασκευαστές FPGA παρέχουν το δικό τους λογισμικό σχεδιασμού κλειστού κώδικα, το οποίο σε ορισμένες περιπτώσεις μπορεί να κοστίσει χρήματα ή να έχει ημερομηνία λήξης άδειας.
Arduino ως FPGA:
Pro
- Φθηνό και εύκολο να το αποκτήσετε. Απλώς αναζητήστε atmega328p-pu στο Amazon. Θα πρέπει να είναι περίπου $ 4/τεμάχιο. Πολλοί πωλητές τα πωλούν σε παρτίδες 3 ή 4.
- Αυτό είναι ένα πακέτο DIP, που σημαίνει ότι ταιριάζει απόλυτα σε ένα breadboard με τις εξωτερικές του καρφίτσες.
- Αυτή είναι μια συσκευή 5V, η οποία μπορεί να διευκολύνει τη διασύνδεση με άλλες συσκευές 5V.
Ενάντιος
- Το ATMEGA328P διαθέτει περιορισμένο αριθμό ακίδων IO (23) και αρκετοί από αυτούς προορίζονται για συγκεκριμένες εργασίες.
- Καθώς αυξάνεται η πολυπλοκότητα του κυκλώματος, αυξάνεται ο αριθμός κώδικα που εκτελείται στη μέθοδο βρόχου Arduino, πράγμα που σημαίνει ότι η διάρκεια κάθε κύκλου είναι μεγαλύτερη.
- Ακόμη και αν η πολυπλοκότητα του κυκλώματος είναι χαμηλή, κάθε κύκλος απαιτεί πολλές οδηγίες CPU για να ανακτήσει τις τιμές των ακίδων εισόδου και να γράψει τιμές ακίδων εξόδου και να επιστρέψει στην κορυφή του βρόχου. Με ένα κρύσταλλο 16 Mhz, ακόμη και με μία εντολή ανά κύκλο ρολογιού, ο βρόχος δεν θα εκτελείται περισσότερο από 1 εκατομμύριο φορές ανά δευτερόλεπτο (1 Mhz). Για τα περισσότερα ερασιτεχνικά έργα ηλεκτρονικής, αυτό είναι πολύ γρηγορότερο από ό, τι χρειάζεται.
Συνιστάται:
Αυτόματος φούρνος επαναφοράς SMD από φτηνό φούρνο τοστιέρα: 8 βήματα (με εικόνες)
Αυτόματος φούρνος επαναφοράς SMD από φτηνό φούρνο τοστιέρα: Η κατασκευή PCB για χομπίστες έχει γίνει πολύ πιο προσιτή. Οι πλακέτες κυκλωμάτων που περιέχουν μόνο εξαρτήματα μέσω οπών είναι εύκολο να συγκολληθούν, αλλά το μέγεθος της σανίδας περιορίζεται τελικά από το μέγεθος του εξαρτήματος. Ως εκ τούτου, η χρήση επιφανειακών εξαρτημάτων συναρμολόγησης
Φτηνό έξυπνο ανοιχτήρι πόρτας γκαράζ: 6 βήματα (με εικόνες)
Φτηνό Smart Garage Door Opener: CreditI αντιγράψα λίγο πολύ την εφαρμογή του Savjee αλλά αντί να χρησιμοποιήσω ένα Shelly χρησιμοποίησα ένα Sonoff Basic. Δείτε τον ιστότοπό του και το κανάλι YouTube! Https: //www.savjee.be/2020/06/make-garage-door-ope…https: //www.youtube.com/c/Savjee/AssumptionsYou h
DIY Φτηνό Arduino Gameboy: 5 βήματα (με εικόνες)
DIY Φτηνό Arduino Gameboy: Όλοι βαριούνται ενώ ταξιδεύουν σε μεγάλα ταξίδια και θέλουν κάτι να τους φτιάξει τη διάθεση !! Η ανάγνωση μυθιστορημάτων μπορεί να είναι επιλογή:/Αλλά γίνονται και βαρετά μετά από κάποιο χρονικό διάστημα !! Έτσι σε αυτό το Instructable θα σας δείξω πώς να φτιάξετε μια φορητή συσκευή παιχνιδιών που χρησιμοποιεί Arduin
Φτιάξτε το δικό σας * Πραγματικά * Φτηνό παρεμβολόμετρο: 5 βήματα (με εικόνες)
Φτιάξτε το δικό σας * Πραγματικά * Φτηνό παρεμβολόμετρο: Γεια σε όλους! Καλώς ορίσατε σε ένα άλλο εκπαιδευτικό από το Let's Innovate. Σε αυτό το διδακτικό θα σας καθοδηγήσω να φτιάξετε το δικό σας πραγματικά φθηνό παρεμβολόμετρο. Έμφαση στο " πραγματικά φθηνό " μέρος επειδή υπάρχουν πολλά ακριβά κιτ εκεί έξω
Φτηνό ανάγλυφο Braille (La Picoreuse): 19 βήματα (με εικόνες)
Φτηνό ανάγλυφο Braille (La Picoreuse): Παρουσίαση " La Picoreuse " είναι ένα φτηνό (75 &ευρώ;), εύκολο στην κατασκευή ανάγλυφο μπράιγ Α4. Αυτό το έργο στοχεύει να χρησιμεύσει ως ένα πρώτο βήμα ή μια βάση προβληματισμού για άλλους κατασκευαστές, προκειμένου να παράσχει μια εναλλακτική λύση σε πολύ ακριβά ανάγλυφα της αγοράς