Πίνακας περιεχομένων:

$ 2 Arduino. το ATMEGA328 Ως αυτόνομο. Εύκολο, φθηνό και πολύ μικρό. ένας πλήρης οδηγός .: 6 βήματα (με εικόνες)
$ 2 Arduino. το ATMEGA328 Ως αυτόνομο. Εύκολο, φθηνό και πολύ μικρό. ένας πλήρης οδηγός .: 6 βήματα (με εικόνες)

Βίντεο: $ 2 Arduino. το ATMEGA328 Ως αυτόνομο. Εύκολο, φθηνό και πολύ μικρό. ένας πλήρης οδηγός .: 6 βήματα (με εικόνες)

Βίντεο: $ 2 Arduino. το ATMEGA328 Ως αυτόνομο. Εύκολο, φθηνό και πολύ μικρό. ένας πλήρης οδηγός .: 6 βήματα (με εικόνες)
Βίντεο: 8 - ARDUINO I 2024, Ιούλιος
Anonim
Image
Image
Λίστα μερών
Λίστα μερών

Σε αυτό το διδακτικό θα μάθετε πώς να χρησιμοποιείτε το τσιπ μικροελεγκτή Arduino ATMEGA328 ως αυτόνομο μικροελεγκτή.

Κοστίζουν μόνο 2 δολάρια, μπορούν να κάνουν το ίδιο με το Arduino σας και να κάνουν τα έργα σας εξαιρετικά μικρά.

Θα καλύψουμε τη διάταξη καρφιτσών, πώς να την κάνουμε έτοιμη για το λογισμικό Arduino κάνοντας ένα bootloader και πώς να ανεβάσουμε σκίτσα.

Παρακολουθήστε το υπόλοιπο από αυτό το διδακτικό για να μάθετε πώς μπορείτε να κάνετε τα έργα σας Arduino μικρότερα και φθηνότερα σε χρόνο μηδέν.

Βήμα 1: Λίστα μερών

1 Arduino

1 τσιπ ATMEGA328P-PU. Πήρα το δικό μου εδώ:

Breadboard

Καλώδια

Προαιρετικά: LED και αντίσταση 330 ohm για δοκιμή

Βήμα 2: Λήψη και εγκατάσταση βιβλιοθήκης

Κατεβάστε και εγκαταστήστε τη βιβλιοθήκη
Κατεβάστε και εγκαταστήστε τη βιβλιοθήκη
Λήψη και εγκατάσταση βιβλιοθήκης
Λήψη και εγκατάσταση βιβλιοθήκης

Ο πίνακας Arduino διατίθεται στάνταρ με έναν εξωτερικό ταλαντωτή 16MHz.

Δεν χρειαζόμαστε πραγματικά αυτόν τον ταλαντωτή 16MHz καθώς το ATMEGA328P-PU διαθέτει έναν ταλαντωτή 8MHz.

Για να λειτουργήσει αυτό το τσιπ ως αυτόνομος μικροελεγκτής στα 8MHz, πρέπει να κατεβάσουμε και να εγκαταστήσουμε μια βιβλιοθήκη για το περιβάλλον μας Arduino.

Για να το κάνετε αυτό, κάντε κλικ στο σύνδεσμο που ταιριάζει με την έκδοση Arduino για να κάνετε λήψη του αρχείου zip.

Θα τρώει 1-6-x.zip, 1-5-x.zip ή 1-0-x.zip

Burn Bootloader
Burn Bootloader

Στη συνέχεια, πρέπει να βρούμε το φάκελο Arduino sketchbook κάνοντας κλικ στο Αρχείο → προτιμήσεις → «Θέση Sketchbook». Στην περίπτωσή μου "C: / Users / tomtomheylen / Documents / Arduino" αυτό μπορεί να είναι διαφορετικό στην περίπτωσή σας.

Αντιγράψτε την τοποθεσία και μεταβείτε σε "αυτόν τον υπολογιστή", επικολλήστε τη στη γραμμή και πατήστε enter.

Αν δείτε έναν φάκελο με το όνομα "hardware", ανοίξτε τον.

Εάν όχι, δημιουργήστε έναν νέο φάκελο με το όνομα "hardware" κάνοντας δεξί κλικ και επιλέξτε "new → folder" και πληκτρολογήστε "hardware". Τώρα ανοίξτε το.

Μετακινήστε το φάκελο του breadboard από το αρχείο zip στο φάκελο "hardware".

Burn Bootloader
Burn Bootloader

Επανεκκινήστε το Arduino IDE και μεταβείτε στο "Tools → board".

Εάν όλα είναι εντάξει, θα πρέπει να δείτε στη λίστα "Atmega 328 σε ένα breadboard (εσωτερικό ρολόι 8MHz)".

Το πιο δύσκολο κομμάτι έχει γίνει τώρα, οπότε ας διασκεδάσουμε αντλώντας ζωή σε αυτό το ATMEGA328.

Βήμα 3: Burn Bootloader

Αυτά τα τσιπ μικροελεγκτή ATMEGA328 συνήθως αδειάζουν. Για να λειτουργήσουν με το Arduino IDE, πρέπει να κάνουμε κάτι που ονομάζεται "κάψιμο ενός bootloader". Είναι ένας μικροσκοπικός κώδικας που καίμε στο τσιπ, ώστε να καταλάβει το λογισμικό Arduino.

Μεταφόρτωση σκίτσων
Μεταφόρτωση σκίτσων

Για να το κάνετε αυτό, συνδέστε το Arduino στον υπολογιστή σας και μεταβείτε στο "Αρχείο → παραδείγματα → ArduinoIsp" και επιλέξτε "Arduino Isp". Ανεβάστε αυτό το σκίτσο στο Arduino και αποσυνδεθείτε από τον υπολογιστή σας.

Μεταφόρτωση σκίτσων
Μεταφόρτωση σκίτσων

Στη συνέχεια συνδέουμε το Arduino με το ATMEGA328 όπως μπορείτε να δείτε στην εικόνα.

Σημειώστε τον μισό κύκλο στο τσιπ. Βεβαιωθείτε ότι είναι στη σωστή πλευρά.

Τώρα συνδέστε το Arduino σας και στο Arduino IDE μεταβείτε στο "εργαλεία προγραμματιστής" και επιλέξτε "Arduino ως ISP".

Στη συνέχεια, μεταβείτε στο "Tools → Board" και επιλέξτε "Atmega 328 σε ένα breadboard (εσωτερικό ρολόι 8MHz)".

Τώρα μεταβείτε στα εργαλεία και επιλέξτε "Burn Bootloader".

Ο φορτωτής εκκίνησης έχει καεί και το τσιπ σας είναι έτοιμο να ανεβάσει σκίτσα!

Σε περίπτωση που έχετε μήνυμα σφάλματος, αποσυνδέστε το Arduino και επαναλάβετε τα προηγούμενα βήματα.

Βήμα 4: Μεταφόρτωση σκίτσων

Για να ανεβάσετε ένα σκίτσο πρέπει να αφαιρέσετε το τσιπ ATMEGA328 από τον πίνακα Arduino και να συνδεθείτε με το breadboard όπως φαίνεται στην εικόνα.

Μπορείτε επίσης να χρησιμοποιήσετε προγραμματιστή USB σε σειριακό πρόγραμμα όπως το FT232RL για να το κάνετε αυτό. Έχω κάνει ένα μίνι οδηγό για αυτό εδώ:

Έχω συνδέσει ένα led με μια αντίσταση στον πίνακα για να δοκιμάσω το σκίτσο που αναβοσβήνει.

Δείτε πώς μπορείτε να χρησιμοποιήσετε αυτήν την εικόνα για τη διάταξη της καρφίτσας.

Έτσι, για παράδειγμα, εάν αρχικοποιήσετε τον πείρο 13 στο IDE, αντιπροσωπεύει τον πείρο 13 στον πίνακα Arduino ή τον πείρο 19 στο τσιπ ATMEGA328.

Συγχαρητήρια, τα καταφέρατε! Μπορείτε τώρα να αρχίσετε να κολλάτε τα δικά σας ελαχιστοποιημένα έργα Arduino σχεδόν καθόλου.

Βήμα 5: Μερικές χρήσιμες συμβουλές

Μερικές Χρήσιμες Συμβουλές
Μερικές Χρήσιμες Συμβουλές

Θα τελειώσω αυτό το διδακτικό δίνοντάς σας μερικές ακόμη χρήσιμες συμβουλές:

Εάν κολλήσετε ένα έργο, πρέπει να χρησιμοποιήσετε μια υποδοχή DIP 28 ακίδων και να προσθέσετε το ATMEGA328 μετά τη συγκόλληση του έργου.

Πήρα το δικό μου εδώ

Είναι καλή πρακτική να κολλήσετε μερικές καρφίτσες γυναικείας κεφαλίδας στα 3 πρώτα σκέλη, ώστε να μπορείτε να αλλάξετε ή να ανεβάσετε σκίτσα αν χρειαστεί.

Εάν ο μικροελεγκτής σας συμπεριφέρεται περίεργα, μπορείτε να προσθέσετε έναν πυκνωτή 10 έως 100 uf μεταξύ + και -.

Βεβαιωθείτε ότι όταν παραγγείλετε το τσιπ ότι είναι το ATMEGA328P-PU.

Βήμα 6: Τελική σημείωση

Σας άρεσε αυτό το διδακτικό, κάντε κλικ στο κουμπί Αγαπημένα και εγγραφείτε.

Δείτε επίσης το «Πώς να διορθώσετε τους κινέζικους κλώνους Arduino».

Τα λέμε στο επόμενο Instructable.

Ευχαριστώ, Τομ Χέιλεν

Facebook:

Δωρίστε για να με βοηθήσετε να συνεχίσω να κάνω αυτή τη δουλειά:

Συνιστάται: